事件管理器正交编码脉冲单元结构及其接口

时间:2012-08-21来源:网络

每个事件管理器模块都有一个正交编码脉冲(QEP)电路。如果QEP电路被使能,可以对CAPI/QEP1和CAPZ/QEP2(对于EVA)或CAP4/QEP3和CAPS/QEP4(对于EVB)引脚上的正交编码脉冲进行解码和计数。QEP电路可用于连接光电编码器,获得旋转机器的位置和速率等信息。如果使能QEP电路,CAP1/CAP2和CAP4/CAP5引脚上的捕获功能将被禁止。

QEP单元通常情况下用来从安装在旋转轴上的增量编码电路获得方向和速度信息。如图1所示,两个传感器产生“通道A”和“通道B”两个数字脉冲信号。这两个数字脉冲可以产生4种状态,QEP单元的定时器根据状态变化次序和状态转换速度递增或者递减计数。在固定的时间间隔内读取并比较定时器计数器的值就可以获得速度或者位置信息。

3个QEP输入引脚同捕获单元1、2、3(或4、5、6)共用,外部接口引脚的具体功能由CAPCON=寄存器设置。QEP单元的接口结构如图2所示,内部结构及外部接口如图3所示。

01.jpg

图1 光电编码器输出状态机

02.jpg

图2 QEP单元接口结构图

03.jpg

图3 OEP单元内部结构及外部接口

关键词: 结构 及其 接口 单元 脉冲 管理 正交 编码 事件

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章

查看电脑版