基于FPGA的交通信号灯控制系统

时间:2012-07-27来源:网络


5 结果仿真
通过QuartusⅡ软件将各模块连接,进行编译、仿真,各模块可正常工作。分配引脚后,将配置文件下载至KX_7C5TP型FPGA开发板,系统运行正常,验证了整个设计的正确性,仿真结果如图4,图5所示。

b.JPG



6 结语
从上述设计可以看出,利用FPGA进行交通信号灯控制系统的设计,使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的设计,这不仅提高了设计的灵活性,也便于设计者对信号灯的定周控制时间进行修改。

1 2 3 4

关键词: FPGA 交通信号灯 控制系统

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章

查看电脑版