基于FPGA的2FSK数字信号调制解调

时间:2012-04-26来源:网络

通常二进制频移键控信号的产生方法有两种。一种可以采用模拟调频电路来实现;另一种可以采用键控法来实现。图1是2FSK信号的时间波形及键控法产生2FSK信号的原理图。

f.JPG


1.2 2FSK信号的调制
一般2FSK信号的调制方法比较简单,通常情况下是用一个随机的1、0脉冲信号分别与一个载波相乘即可得到调制后的2FSK信号,如图1(b)所示。

2 2FSK信号的解调
2FSK信号有两种解调方法:非相干解调及相干解调。相应的接收系统方框图如图2所示。本次设计采用的是非相干解调方式。

g.JPG


由于本次实验接收的是500 kHz和700 kHz的2FSK信号,所以首先要经过滤波以得到两路不同载频的信号。滤波器系数由Matlab软件仿真得出。
带通滤波器设计成一个24阶的,对于500 kHz信号滤波器的过渡带频率分别为250~485 kHz与515~690 kHz,目的是将500 kHz的信号过滤出来,其幅度响应如图3(a)所示,对于700 kHz信号,滤波器的过渡带频率分别为520~690 kHz与710~880 kHz,目的是将700 kHz的信号过滤出来,其幅度响应如图3(b)所示。

1 2 3 4

关键词: 调制 解调 信号 数字 FPGA 2FSK 基于

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章

查看电脑版